CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - i2c verilog

搜索资源列表

  1. TestFixture

    0下载:
  2. I2C 控制器的 Verilog测试源程序-I2C controller Verilog source test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:9405
    • 提供者:杜丽丽
  1. i2c.tar

    0下载:
  2. 是个I2C软核,使用verilog和vhdl实现的,含有testbench。-this is soft core of I2C in verilog rtl and VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:702652
    • 提供者:杨力
  1. VerilogHDL_code

    0下载:
  2. 几个常用的接口实验的程序代码,用Verilog HDL语言编写的,包括七段数码管、拨码开关、蜂鸣器、矩阵键盘、串口、I2C、跑马灯等。-Some commonly used experimental procedures for the interface code, using Verilog HDL language, including Seven-Segment LED, DIP switch, buzzer, matrix keyboard, serial, I2C, marquees
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1603267
    • 提供者:shsh
  1. IIC_AD75

    0下载:
  2. I2C温度传感器ADT75的控制源码 使用verilog 状态机实现 易入门-I2C for ADT75 temperature sensor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2602
    • 提供者:王欢
  1. I2C_Master

    0下载:
  2. I2C总线verilog程序,已经过ISE调试成功-I2C bus verilog procedures, debugging success
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:2032
    • 提供者:wangdekui
  1. i2c

    0下载:
  2. i2c数据传输总线接口的verilog源程序-i2c bus interface procedures verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1478
    • 提供者:lqw
  1. i2c_master_slave_core

    0下载:
  2. I2C master/slave IP core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2180472
    • 提供者:zhanglh
  1. NIOS_I2C_test

    0下载:
  2. nios 中I2C总线的使用,卡拉OK的完整实例。其实不是用VHDL编写的,而是用Verilog编写的。我的工程和代码绝对完整!-nios in the use of I2C bus, karaoke OK example. Is not prepared to use VHDL, but prepared using Verilog.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-28
    • 文件大小:11159801
    • 提供者:jiayanfu
  1. 61EDA

    0下载:
  2. 分析了各种视频采集方案的研究现状。对如何采用CCD 摄像头采集高分辨率、高质量的图像以及基于FPGA 的嵌 入式视频图像采集系统的实现方法进行了研究。采用了以摄像头+ 解码芯片模式为采集方案, 针对视频解码芯片 ADV7181B,实现了I2C 总线配置、ITU656 解码、VGA 显示模块的设计。设计的视频采集控制器已经在Altera 公司的CycloneII 系列FPGA(EP2C35)上实现。结果显示本设计具有速度高、成本低、易于集成等优点-Analysis of a varie
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:179647
    • 提供者:李明
  1. i2c_AT24C04_Verilog

    1下载:
  2. 用Verilog HDL语言编写的AT24C04程序,并用数码管显示,已经过测试,很好用-With the Verilog HDL language of the AT24C04 procedures and use digital tube display, has been tested, very good to use--
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-26
    • 文件大小:11043
    • 提供者:iyandy
  1. I2C_xo

    0下载:
  2. IIC的verilog源码,可以在Lattice的XO DEMO板上运行的IIC代码。内附说明文件-IIC' s verilog source code, you can Lattice' s XO DEMO board to run IIC code. Included documentation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6569211
    • 提供者:高小高
  1. Chapter1-5

    0下载:
  2. 第一章到第五章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1580139
    • 提供者:xiao
  1. Chapter11-13

    0下载:
  2. 第十一章到第十三章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:5088147
    • 提供者:xiao
  1. I2C_v

    0下载:
  2. 对sdram的仿真程序,对sdram的仿真程对sdram的仿真程序序,-sdram
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3834250
    • 提供者:dongxing
  1. NIOS_i2sound_demo

    0下载:
  2. 在nios系统开发中的驱动i2c音频电路的代码,包括verilog代码,与相应的驱动代码-In the nios system development in the driver i2c code for the audio circuitry, including the verilog code, and the corresponding driver code
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-30
    • 文件大小:55646
    • 提供者:chd
  1. Chapter-7

    0下载:
  2. 用Verilog编写I2C的接口程序,I2C的为主,测试通过并带有整体模块设计方法和方针波形-Prepared using I2C interface Verilog programs, I2C of the main, the test adopted and with the overall modular design methods and guidelines for the waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1654663
    • 提供者:张跃平
  1. I2Cdesign

    0下载:
  2. Verilog数字系统设计教程【夏宇闻】原书第十章:IIC总线接口模块设计代码包-verilog program for iic bus design. the pakege includes iic protocl master program and behavel slavle program, even includes testbench and data bat files.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:7561
    • 提供者:ooakk
  1. I2C

    1下载:
  2. I2C总线接口的Verilog源码文件和modelsimd的测试文件-Verilog source code of I2C bus interface and testbench code of modelsim.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2932
    • 提供者:guobo
  1. I2C

    0下载:
  2. 一种IIC的vhdl实现,包含相关sourcecode和协议文档,学习verilog hdl的好资料。-A kind of IIC' s vhdl implementation, the agreement contains the relevant sourcecode and documentation, learning verilog hdl good information.
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:38487
    • 提供者:cabrave
  1. i2c_master

    0下载:
  2. 测试i2c总线的主机代码,可以测试从机的功能,很方便使用-verilog cold i2c master
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:10464
    • 提供者:haichao
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 19 »
搜珍网 www.dssz.com